From 8d2064edc5266bc3adf4ea30fba8aed5fe85ddb1 Mon Sep 17 00:00:00 2001 From: Andrea Manni Date: Mon, 13 Apr 2015 18:31:22 +0200 Subject: [PATCH] light and sound --- lezioni/lezioni | 5 +++-- schemi/photoresistor_led.png | Bin 0 -> 32132 bytes 2 files changed, 3 insertions(+), 2 deletions(-) create mode 100644 schemi/photoresistor_led.png diff --git a/lezioni/lezioni b/lezioni/lezioni index 9d96335..d951b9b 100644 --- a/lezioni/lezioni +++ b/lezioni/lezioni @@ -59,9 +59,10 @@ Ratio ===== Visti input e output analogici: ora alternare la presentazione di un sesore (input) e un attuatore. -Piezo: meldie varie, sirene. -Input analogico: sensore di luminosita'. Lettura valori, calibrazione manuale, trasformazione input -> output analogico di un piezo: poibilita' di percepire soglie e range non percepibili con i sensi umani. Smothing (semplificato), claibrazione automatica. +Input analogico: sensore di luminosita'. Lettura valori, calibrazione manuale, calibrazione automatica, map(), constrain(), smoothing. Sketch basic/analog_input[3,4,5,6]. trasformazione input -> output analogico di un piezo: poibilita' di percepire soglie e range non percepibili con i sensi umani. Smothing (semplificato), claibrazione automatica. + +Piezo: meldie varie, sirene. Pitch follower. LED RGB: ciclo per ogni colore, impostazione via seriale. PWM con LED RGB. diff --git a/schemi/photoresistor_led.png b/schemi/photoresistor_led.png new file mode 100644 index 0000000000000000000000000000000000000000..c9e16262a6dd08defe74e85f0bffec173b62fc5b GIT binary patch literal 32132 zcmb@t1yEc;+a)}>1oz;OU_plv+=2vm2n3g4!QI_G1PufyxRc;+6WjuW4^Cikg4oy>({0950=%Y%84!p}MRDB3&N2Sq7N*aA3J<=u3?E*NZW|v3s+^#CI8q?;31v z3SpOLYaudcBSJ^ttjE8dQqp}-rlqH+&&QWEA;iPS#|I<41YXiJ21)^sKIG4lz#|<* z0JPu}ia)iOBFa8BDT1P&nlNaeTKF&RV^#3r}|6O6H|6U66o>07!sw(B{%frX-H@Dgyr^J-Po}c4@bpx&(9Skd((@F(2xL z1w7tgO{H}ta|Z#d4qS)_D~?)(99Q@l^uF3~bO5jnVC7!)&()ea!Tc_3=^`Vo`ljZP zdA@0CYQB6}b|Fg#Y+!v3Nw!zMMIcaQ+5h&ymhC@1sZ$ENqO)pOeUt>uicma1F}J0K z99Qg)%f92&PbDSv!HfIk_@@X~UK z5U{W~=KX($`M;YCbEZRNiQZssy_mVfWyg#RT34#QxmvF6v9R^adZ&N(JLO1VP>-6& zloP6LuzV|T5aG0y@~i#Qu*3{fR||YXum%guoPm?zEu60t!}9hb849nrl(Zt>M?zcm zqCS1t%~>_74aS!FwuWi%p#c^BxG++62lYU{ zv?`Ob)aIlNzL4iza_Hc1T`P{Vq**W zR0F>6CO$9y)0}=JROQ7GyXOf_1||R{G|>b>IX^!F-dj<^EYrU63o~uW(dm1_lF+wGV%{ zo0*hrz`*XupjCVSGH{KHmLb&S<->MZFOulk{8Bj3Ee7qR^dLy+6$yruas+;bFW@g; zPjev zDU$yARdZ=Xs}DO5`Dp}ur5aYS<|J(S|Ljt3s1s;BrqeMjFt6-%JApr$&(UJ8#<(E`))@d+d%=jLprI{(KY6suA7Wx#ReE~zL><)dIyk9%VTrRSLM|edjvk3kHr+l{2KxNOYri4J+zPMSSD1(g z2UdFRdl2+eKc}TC#l|w(OUm$b;kJtOWfji|~GFX#JtN0_7fW zsQ@-Y=mTQ$F|4@0o`{ZCZSoaZ;Ior>0diRpE~%^(H+z8>^LD@#F9X#i11~J>cxY&~ ziDN&5e6#cw79H*OXzw2f|9{i>BK7mq%al4?gFzY^A=|JA5_>reDKCNF zJrZ49>x&&>y`SUaKL7kV!TwAalImnSNRa$^(TGPLaQh#JRYCUxpXdIM3?3xm#c8pa z`GrId4~Brz6(Z)!qdzYY_khiYC_6tC^RD&)_JG~o>fRl&jrGGAuZB&QZuQ#tGXn$H z&Y1LrjA(F|mUeCTKl7!GtxH`$Tl*D^!Vk8|6jeuraDIN_MWj3)VSDXl%9AtQnUx3?{9VI5i668}sfytTY#^wMW5Mf-SG&!JZB z-uF-K;TzO2~aR%VWi)7Z@)X zp>WOi=I^fOxX(&bQ=1$7JufD36NKXAhZZC0L3Mz05N9Sb4f)SU6UCu!yomqWpHhPV zPjsKkEh#S#!9#R&b3L-hoGLLUzkJOpGc7mJ`Qi+K19h5&j-%F)+gw@3iJKd%lz!8__k3WVKl^IiRs5yNC*kXKL` zzY#?W0u?5EUadu(%vAfo*?p*LztELsaXR=3WjxFQraqjHPN*Kch4y`=Zc4J%Q!wZJlK{mf01-OSOLMG!3#>39Xhh%U7FaR|TjcH3PeEdn-9_p@V zRy$)^O*`2>wBHr)JjO*XUt$kGWnf?g%6GhW3!z?T+YI-1D{WrZq#?dXKCs?_I0^*X zlSk!7n7>e2dAWvRTB!`R*UR0j1mRQkoTr42F_0%6h*zSDnY`?~4%0S!XXP07K{bVr3ir zY^U>*!D?eJp4sn{jlgkKymIKOJ1Hfa~M)mO!Qio)vU%Sl9uCF=r=#{mNCf zbbk%d=Hn+wcDc^_W<)!Md7+be4>USyp;VPgV0NPxJ}YY}#b))tv)mW_F#ca3rwW@^mYir#B-2s%Z$EmR>3U_NyhwvtIa zwsH?=Q!+tKt&q?^(N4qbmoFHWj9HT-5w)}sSn_n&JY%(IQ)-a)^4@7NMiVLLv-v2;P?mEW;U%a@cf>(V?`u9HS_TF~_0Zl`ZjvHP; z!IJ=QX*b1u7LyAXG)3~P5~T6rrzg!d>K$x*2V32V#v4{KAZTF z42;%LC3!SyQ}1(`-PcLVu8Tam(Gi9hNi>jzqU|*4DML6Ez|HFy>p0m|hG8y7okUc? zEI;MPZrH}Z<>!|0q3)xcdMsW()G3u+Z}!N^zG*GoQ&DD$HS`a0z3;X2w^7~7Y{-Wn zR`^8HyNP&A5Pgqjw|i>KllA^~Q*U4~4wClx71B5kh>;4da|$<@trzf+K1o8(@S^8^ z%?O_g30G$&B&cTGFmpp)AxJ}p*hIwz?~@ECJ~G}p+NzuHR~aH=fvRWyXSppnwxeiN zNRQk&s3BIf8Tc+{T(&RV6q%{b=HD-n{U>gTByXW`@7=?B8fZy-LIrsXUKs;-t6y=Z}0C1kP(CYkIF5v0+XLH-z3RVT*S(`jeY&0GM=spIl1-) z=Bs9bi;2lnbpi0d7_@O#N^8s)-#&HipHBIkQApzNi_D;F$?JrFB}2WCp?AchBEaN< zDF4L~Y(X8}C4bP;B1S<$X^&s@GcPVH`!zl;bE45N2R51f`AdA72e8tQMAI6Vg_2OW z&8@BTjF{QijACM);~VKkQEhE)hTvsV9PsJ-U(U|X`X3cnR#w8&72~IkVw3A&w_nsRd??4yrXb9p9wBPy2qH#bg)e}| zvnkQ9)J%4YGS2gsvH9mT1*N_(2%nZF$kQ3}R$t={4o&O!mmgrxeSu(__6~NZ4~=Fu zSV-9>U4KNAnbxJfsFXsi-BRxP`R~242B_&IA|JHJFhRYJFZz&Pr((%dSj>ussG;5> zuH*1L@<@Q)I_>3`mEvLGZ zGGZ?S?B)v2UU0%2KN9F+0+JEHF0yc|m}=`AaD;5eVSecu(I2+HA6vhA2`ZOySY=8Z z>CKF=F>a++{uy?B0_Rlu3Q}eslB}}sa@MD`wvzKQ0^($%*`LS-oOs@%Y_azr)IkPn z$M$|M3og`2IU)IhxOwSudquy`*30CdnS6Rh303f^2+S+U=ci%HSj7KfYPlSqr0~qd z^#|->I*!F`fOtEv;rmf@V3luM-TI;I)UUN<(I&S*qc1#smnTeLKkp=9&7y2t4w7_5fPI1I^*K zcazm=h6s8vQeB{2GV&lJh$Wqt=*T4*~4~ABM=Z@O5~+d~sMmd1S;CL+UCS_slAz?|mL_B?aMjz$vIOd%21)?l&^ zSn%Y@xm5(9RGANSwS6M@yOUV$|!WE9-MtB|9+F=Vyxz%_H*=`F&j5 zFO+7y9Lb3~5%E3}ZF3}{ZgF}3@7V!I6?V1UGyLwG6JZCljoC z(}tb7`INZJ%@yO>U2za)Q&Tl+f9LTyYqMAKrzbOdRd3DZ-Y%qLNP9Jzc%vX%FK`gKCy){M+FLGqLGN5^A77KR=Y6&^KSI_M?&G#&o9Q#r8S6b1^r(goZl+*tw&Tl z!0Q{GBuuEE+QW@s+Kt>&dyyLjJg`&RC>kAcew^27KOzfG&AMnVv$yZv^MlkB6mc9l zy;8vZY)Rf^@=kiM5LaBSE23;lg6x&pc4VZtA72fIRmsifx>q`P8D7Mm9&j&#Khffu z3?9FJ*Ir#I-(J1cyIA|WEaS~iu=~U6ls}ntGr!?G)y!xqsYQj5eoTr>*{WQWQAGgL zR&Ah5S-dA>mdy*ZZ;3p41IXy!d%P(T?*7o3+CEXV+WzkQ!%Y;#ukv z(H-}@-dR6C!_Ku|auwe+!jvG&=g%8~M#BYxiaBY&Wbgh`N@(0V*!vyU5j74EC(=?5)k_zV9Q7 z^H#qJ0R;2kwM(}i`t{e`=KT4evfy&Y6sGrLk2@Jk6Iv)nUWZDc6KQNC{SJ_kkG+VY zm@B=x;;*`s?KN`SYJnu?q5WyiJLqj%9vdeJ)MUG46+49e@xbN7N>?NGTA3A;;3(Yc)O1U7+;fJk6u2?YmY-Vc50u;MaVtzwQ{?a-a? zOWUJb#GdkxgP-X|N<>HuTuFjgKIlaRbUZkFX7>eDtVCW|L957ctCnLQowg`_EoaV; z3;PCBjdL!OY{=33P5r9IM$ewhT{?-Hd4D0e>c8TB9o4BXD&TJQWY*0sp1gl2tOb{Eq@-&j^V0ktK2>dVHCEPtb-vhI z3q=1MLvIw@@!lJIb2rR;#tyez)4SD~O8(j3(YK`IA#ghg5b&-B7=iqrzmI@JE2#X_ zX9;mx+>8y0leQRVj)tlipKd^jAKL5}kEL5ln&!geOTeEOA*=9)I^HCS3DEJ}V(SU; zeBF}ZQ=JjA<4&X8Izs`v+sGe2ZXLaKEPLa}eO=0+y;|&Gd*t$VJS~;8uhG!E6_(N^ zGFnX*vdje6XiUtfC#rXPT=(MQAqG~^?;WJgYxd=42I~8fZ#--SU6BW?8UebQI;DQF zjdGKVIk)d`KCNv8=p@bM+|c-6(z{AirRGo+D`!dg_y~!KN3{%&k3-VIW^;IcZXGok z9HK19^!_wGL6ka0QRyZ?v4Xy}NxOGukn9ru)nZ^!&$8zaivcIoFxj62Y)_E^m&qM=y z5dn|5YHXk}L*4kE)B zvKab8UX&JT5Dx^F!IOeE+6Wq6DXA=2EgO={S|KYE;l=IRr(GelH;`~K@&kvL?y4v* zXSDrY_DO`8{XT3c6WN)3tG9|v)F&pxIRVEOZC*_z=iOZX%_gKcvu|)=eEA?nt+1_@ zD7sGuTDh1AD)f^{-^($PrKYyB!C4Tvu<}l)&|~#0L~ofoYM1sOVbu|3QT^9f+m$iL zm1!%=cL$m_c2n1JMHIggy}zMBR}%diKCH`iT; zw{y-qnk2s_i~n{?+Gbj)iYVD&WN>eQpSLW%E;{oRd0f5@fF?x>a^%Nnx(ymJIP|c0;Vn;ie{xKJ_tpv^RK7-w+~FOmR{wR(X(e2hc4azae=h_H!?3%+B&y#k+Km z4aa^^6~?tkWz{7fho>B0=c|=eq49;-HK{LCM_%r^j-5;sF&xyu!;ZUAUMJePGUUpT z>m8pyY^D@98VRD9dl~e`0+ahtceY%Z{(YL!bgv}8Zws(%zRU~#ACN8R8{enYrqd3H z)z%aZh@DZmBbkXrXWNP7Kqs+d=1sC9BUcQ6>*=_mgxgB zS06`ZCWBL^ut4(+xij2prVI{6R#YdFcEhxIia32qNCCyl+u!b!-%Oc1flB#|DZV=a zHWxn|8J0WKO8S!rl0aO~w6><*xUT3!R?D*pHK-~6AkUVg7DV;NE$ZXX9Yit~2K%oX zVNjSz5a}g#7_#c3v%6&cQLFE(hbB8QUTP5(jF+PdBbtEEeLNd!5jFdyw{SAZG<%8! zz|9!O4l^?|a}92Al-5q`Ze6MUO+(?uX*%i0q=V4p_Ag)zgv9Q?E|G0!{$AG~V(XWd zzZEb*Rb@Sdn23o%OjPFwCY#Bd1uR6;6Lmf!37`qG=&lptAMewd$dXWDbE{`ZQypL5uswy8f zph|RU_y&!jD?14rs4cq-$#RO;JT)i|v-zk9#Fbox9(;PM3e)wcyB=OE=?D~K5}d4! z9Cg-h<^Rm9VkvnRo>z`}Xe3OUovh=NE2t)k2SN-F&q#GAx*C-lacND!YAQ19;Cz_# zLE>QfpIU%&`DX+WFW)m!N_(p{CNTaa3U9tPg2P-5Lc;x?_IxJDJRKyOB}AnLzH|0t zL6&H4M$)BZ7miwTJPxiZDSCEpJ*(EHuSQFo*JRk~#v`-$YSoG-+L1YQ{Y&1-99%gk z+=T4c@OVTS_Q80Wv5%1OxTLZ&PDVyX?kmh_1%-&e0ujM)`Qt;{+Jt{+2_SXC5`y#d z>0o1tvd92_VC7&F!o(miuaNhV-!8g5@D>6C_372aMgu(8 z{V(1vHnzog!YO2IW;0E%G|| zzP;)-LGDTX@p%2hWHVI4vi-}=B4>M?k$o)g&MS$Rm{=Z{zizTT9Ss@CVeSihjuiI3qFJ|_oa3X=k${l1%h+vs@>j5ETSu>?O<` zWXFC~4xf5=vVDnfl_A==Y1=o1x70Zrr^Tg?JjDL2n9B`$Pv}4xe!YnnqL5{!AwkYo<#Pq4cfSNQZL2GCvL4Q*X|L;O9I@}LcwF=7i&(P>v#iNQ znfci&c+dgJg2Pe^dNiJ+FaQZGmm3;(jCZBMT;3qBgAd+gxpf~09+d;Az$Irk>7&+> zmlckl6-Le?X(CZz<*wW!9%DOiVW_pV$=8k_;1`L+aXoGZ0HA4y{ulMl8(u_piScoo zQ#;Z)snt2&)3dY5r6nx(XWr~W zGC#OZ_$v^Yg(#6P8-qJqq(t;`Viokh@j%HUCGDgBFudy4 zi0F)6S$AI(xvz6+5@+F7?!?n0MGf+-?b}Lg%g-G)V~;L`3z7-dDXpFK-HLvyLRJN*&-eMreO#wkliN-nWDwt;Ge6>`*8c_RK6|VP@ z4e1KLv}gG{;Rmuvek5Ytq*A)$W1P?$>r)NXd$uH{lE)n=AWYMGp$^o4AqfV4atrAy z9N95$5jKv)`>%*@Mw7ug9|UO}s05-)3u2rG=hR5sv15=#mw4(C;W*IMB&yze5v5lw zUBrY#$J=YVPg~g1&j=t?WPsw-j%jRFLt#7X62eGFD|ADFlIBN<&j4T{=9Pg2Du`(G zGO{Hg%D*BXmy*m|qt?e51pK9J(bjaFP3$2tr2O`AI%G*XNRT8J4$e%z>7cZp-r4BD*W(E>5_D|TJSMRlnd60Wc ztgU6T$HkqxB4$fwz?P@`=(4iX;+_O$I}+#mP4ah4L5Fiu-U-=d@g*r;**kC1CvEbA zlL_qX?9d}N#yx29#QpvK)%6<&el#@DPpNHYfnU4`AB(&BS?vduFX!FR-@lD_;;BCP zJg9$cApGTDz_TGS(NNVpquI3A|ETgxKF4Swl_>o%?bpG@gat`w2x7fWB)9F3sdn#O z-_{FoP*&m$BVtn5x8}%UhL1ki-R|7N3w3M`Q60o&hG?_ciW>U}pQ-!> zcJ);6Ij{sPF}Au1-^^C|n?0eXD(7==H%&1=@OE4*-H4iz2PgWQaXXcCn5)JoC4*Y1 z3rTVwi(5E=#6|wT4-2Vf!}z7vP@QW*f^(Amzo%-gqb-$SJ6 z@onepP*go}B9!QSyK zngeQ=4-s!i+@J=KbbLI?op3kM2Q;qcOIkh;S!@Kf9zRmbREfSF_A+_c3txIe%)T14 zCA4&ccRMJ@X}9mcFU>RPVg10#wa%DuqXHQ(^0Ez^Vwu(PGE&U~!iZnUj_FK+YvQ0o z(5n-8!zdLUvs@mmFX_>SxGG%-5cB|wus{ssM;)}!b^Hh zEd#o5dVktt{S&4A&QfwRB0(GkLYD9GZ_0a+E01<7~C_8UKcXTkS5 z@OeEzNvlUM;D}xgTb}%h&|Ym%QyklathaCwNt@7F4Pe2^1o_yIM=kGbOh(L)rzo=i!t$$dR<@FcvpDl2)ex*8XhK06N-W3M5Nc6 zLAWhK`|*50*2tN*o;q5abTU!6VdLzJfdut*PJXRf35_c3sGuMGT}kZZpAI}3`Q2Rc zt18JO01v)8tqCAkoP0)Mjq$&G&R@Fc*peC3zdBxm9QDc2_~4v({}K?X;$$-lM6%2{ z9;xbm4lC+%SdU6r4tMDM%iD23wdm`F6>Q|N5R+ge#@8zP`wez?121z~^fzirV_@dV zNP>%$E0BH(2Flfy;^bnk)|*ixOF9Wr*NjB?R?iGn!KgN(UVNh0eY$rR)7dWG`(o`o@7gr<5^}02 z<|t>Lo5`y#PQ_nX#pc+D7!RZq*xvO-g#Q(&!{ag2L2uy$3>ARb&AiCJeCbpqvI)e& z5K;nn=}!k1F{_3K@^!)9@r{*8O+>G4e@JO3=z8?qkhr^wqiPB`(T`>S)xQW)Uh~Mj zbZ4lK?h-Tb5GYFE7?>P*pRVid*ZTygXyd#u02Blu$NS_H)zB`Rqihfe8H)W`#B=uANBfozI)Rsl+$aE*Gvkx6Uf!r5B6&XQc{|4f z>Iym1iynp~3>d)#LN7RC38gDa0mQZxo*ItO&09xH1%aPVjGo zI>P1>N63!eD2HFg2-ni%jO>=;C@eK4zq@HLeAhFNdj-L8A>mmHSmZ3{|5}_^KcjY6}u7*_I9tLCo_+^*Ovb3X(aZ z!;BW;4ldjj1{5Ic)Qisv-|!;SPLEhfb$?o!!CB)WfZ+v%Ngsxix@a=ADn>liiWJa6 zdV5tp9otnYnQ9PRy#c2497iHy=$E#`T`?g7TH1~m|6sq*=4SdPD}iI?ivBnju`B}t z4s&q{h5~5XD&#SIEes}zPc`0E9}N_s%7FTjd2%(64?U=$ zuA+_NV}SO!QF+iLHZh{zSk|J{3JVOKojlXYm-8)|dutg`T*ZHN{DbL>{nO6==&E%8K`s1Gdp%uxC|4+<*+ z3D{aViEqFG$({eOXh-Q2WvIqmQjg+4KX+j6>pdH2A5ixu{mR3p(557>!Q9xVe1*S& z0J|F{n zo98YF-J+U_6bm18;(_iYRWHc3pb#`La}5P%#=!3(RM`q#qOsOsc?La2;r+J~?#V%F zMBkyX|MJU#+!=LH={2j`@=|;=;gBkndSJAkan0zlfn#IQN1c(N4iFIOi~934O|#J>2_fP+vY_>t+;} zAMf#Mcf71Bsv}G?xReM*JH?y~EY-H`7T4|GIIIYRWXmd3<~iKwB}`x(jzVNhCs&34VSC@*I;3t>I>=4u{4&g8W3svW4Jo|fpuMe!$O zU#lYbgk&yEkYSni@4wni_a1U##k}ImW9B^hW?rt!6&c(kK~^*m7bFR`7;%iI1LSX! znvih%@OOTW3?bR+iQV}~Qko>KeXD-ggvG!(Fjp}8HL(DhonQ}ygN-~krO zt1oCzRH)c)*nj?#Tq3a|0GG84bFslB-J*I~yhu4KIwPa16eFwOEu@xSI459ildn$8ZYI4Yw%&O?FdOpEvHu6oQHX7U)q z%^Sv3Fn~bj**!IK?^rx?n%x(Re{-wBWRRVFb#@VA?O@YN?SEl$Lp==e^+_DipAm!@ z>cs%LbQJ&}vv=5cS&pt=IouzoO7EqT^YEaE&sJ<%`bvcKkt zL`@8gho1Yh`8m6i(lHt$SL;F6kiTr&wIn_wAXPyjm&CsVNUaZIM6#vCH%h`6lX^9t zd!c@?^) zxEibnq!@rQ!s%F%5U{kt0?d=Sf#tamdIBe=?Gtxm*T&rc6Y}05e zYLgz{MG*R3R%3LZr=-yhy-#!`>o&%dKnFBI;(W1vZvV;O7#RbT?*X~h?Ha=#NwohL zX>7F|aau}?#W*~u%JT#vj?8fOnPPyMePL!;oJ`Q{<#l}PYh`OIC1Nr18iz^~dn4TUk*R(YxULq2+Hgaykngk5dNP!I&%uAhdz z*f}YG1yr5+Yh2OMF-cWsKrK*%$HU;yR*(0$x~>Jy_9bcX;r?XIjqh>tgAXF{cnBwX z(4I5Ss-w2rw}cHPyQpCx*O0qKv5XGOecqd%N0o&0?CooW{polrq2s?s56<0(M~;%u z^f{ZGzthvc{*y!y31o&0fq1IiWE1)n>BtHty;oYXBMYj|$7z5FF%DS~bjz0?5y;tj z=dAC4y+ykJP}XsZp3yT|*cs;R%)QsHEELH&8-JsnT&Yq` zKzI`eWU)GI=Jb|d8HMx2U8t_|C;i7Kxt01_W(42~<8`^kO%CMLWh;JXOWq;h`}ztp zCp3K_HMTVW?SAL)kpVCj60|5oP%@9BdD(NRFD*nM1ac(C#+QDp%%803Fh3htg{(-7 zetZ8512xZ;!rw)U7=JpAc^bGX(Rj!#yIQgY?fRvpdt0-ebi`)@3z{VV?|4Ar2V19rBY)%iH@8ry z4wf!SRTF$g!~d533|z!UiXBHM-0F?SR(`qB?AQM>mW5S9(u_6Rz=bUJjqv-ZoU+O= z*pZAfAao-T>H3dn0eIKKs~7k>qBQ&VG`|f*Lcv#T&q&Rp^~MW-Ya|=8CTw7+aI0wK z%_%n`tL~<_G-aeFgS?}^$Ud~#7bDc%3|WtrX`lJlC=xbQnS0`3(u>kK_t;lcYspL- zf9=3ZQbri&q7%kw&-us>3^dWB*TwVi6P@Wsb2jlg~&tpr^T(tSc z!$c%6&rk0kP%X{&Aj@OzuQ$SF{MEu)MSmoGJeu)bRT?pf8lyHsoIjb^Zbl#ioMiZ# zjv-cOFN_QCq&cm@;;&dA`-Y3`{Uq}Zd^Q;|@(Sts#hx4)Sm@wRL0iiN?wx;;uOjRF z)6o$=anSfim;}p}CkpL_g=O*|W!U8_#I;nH76-jclgsZ%2>&c*L^VM!!VNT!l>P?b z^L6P-DCm6xU zY~iSdBqjuqOais^bvl@tg=O%n2@+2uV_;w)93|ukA}(M;{AAb&Z4WHiE%j0I;Rl~^ zYf{6)>z^<2zqTN!4AUrha%u*&h_V$DJoE?0rSds0L%N)Oa&mw7*!EJ=Kb|sV(id4) z@+Mv&-;iKmt-bm>D(;fv+5Ja6U8oGp-E_gFwp(2L_eG0e^h>s+LjK}*1P$D5KlZLD zNF!2o@W6VMZ{5=+useXlbTxH8i7-uO>)OPF4WuSas;a5;o~SlG>vvLOPEO9%C7-=P zsNRm|+6t^K(Bl&v?Vi#NqFh#AEMQ;FX|n7@@Dnj8KBd|IRLWq~4L^z7+EWv*#-{7G zY}lIrS36>iP<~+0h#o^zOO|d-4>yzOBp(`I=Jt0sI|rJu*D@jWN!Cy{D{R>3uua|2 zFkUVt#mdUI4>)b9rm30SG*-vdO3{Mu?#`qPlLjnw~t|YwiLs59aZfdI; z!v!_R!=Bf2FRm+t;`1+zMAhgIPKY==xF9osrC2j0BsMWezP@I<=2Bl@Z9Q6_$df#2 zaa|^qGk{clsONH$@P!#@X@MITJC@K)4NaNI=*+8Wuy)7W;r{O{za@YR&QxJ!ihAgR zN&iZH9;+vAO1K`5w;!)g`-E%*+@WNj@GiX`0;rf!-FCd6D`I|Pjw;hAbo9^{~Bq%+@5M?ux+O@0w8sN3>tZ$;ZTZOTeC#BVn4@Cy{L)Puv{=9 z-xlb{as!g)NJm3fwNuM@o#RxqF5z2bFP z32W0QwCg4Vj`T_7{dGHe(sE|Q{E#!sjOTwuUG>i1U-d`4r!`%yF~H67In}zmpGh+| zF&7}BJ3dHT8AuFIt#FW`W6-=@zh8*SAQkiaEYZascQpoP!FqWOZyBAh3{O#CUzUvE zju-R2;)>oGr}@kK;cOwhiU!4+U_;UL>GUL^ncfOtPFGEi$f*uM10BOC-190ohFj%6 zMb1+9Hcf6!EZCbo<_+{62>NKLAB5`w2uw~@_k<>(*g*v3ekA@E+sep7+q@~8SI2QX zFbR{czR}mLC(~|1SAd2@TVq^Mjr^}sTLunoxaLMfiyf|~O=JDReayQ8zX#Rb(hmP) z5-w@PuwNK&+=h2uJ<`J9rusb&TK)UYv%CZ zEz6ZYm7`{Sn#YZdK3IqM@S755mafjuW0IUm7bQ@{gnzR-dQ+L~ysBc$(Ero|P>|=S zFd4(|JGa6{e0SnBQFWVUo_NxvAFr-#slL^cy}oG2eEe{Tx=!Z5pVj`2)Ak8E-+}C; zjzU^`e*$Gjgu}ACNKqU|&uzd7A97_qti0hC>c4|L=Y-OHHE`yzmf2_+F)n(I30j4Z zcZLBXmM5jl{hF@m^PMgV(`RUfs)_cb2Fvkp8_jm^DgW~H=TAQvs}Yriq{~9%b3y?3 znltnAxTUW2n8=@v;Asw20IgdOm_n?@N1)@n2i4cHTM;0Ob5TmFLh7IU`T)wwtJCOm z1W-Z+3`jln!a-B38jFz6VTvQlPB07x|zdnu<>xhlCdjaK{ zAc(?6BlT8m`u_d6F!)fk(1Qg$P@I^(CJq8@F1ENFd=@gbY0Qg-0>ESLYoFsZ7(J{G71$#ElA{)xaO zi-8*c;F~~Mi7MdCYAwY=Nn%nN_}U`K!^d~|oX`KxGdU#%+YoVy{knA{^_uK8BPljE z-5tA9;*KUbnA7HIxk>fjn&3o7JLCOqGwl7C6R@Y{`%tRQc_ko_fht4MmKML~P5RM( z;hMHE)PAuBjsNJtm+OXQ?x5t8@1p3}ZZqeb56JW_I7y#cg{0LSXHv9HA@NlFZa1z+ zna_fThU7;w1YVyXSN{<_oX4qW?dgunAoL^g8hiO9_n|d#jFOmOVH|v1^r;$%d;RL< zM69a&FbSJ<|C_!R)$dRlObTxj57UfP6z%q=En}X=!+O4{86GKL|Bnmp<`ja?J#^?Q z7xE+dMV!0W9<&I3J~zVSAybn$8AT=!ch^?-_5%Plh>sOU8wwDsq62YMF~Dh*O=u9n zuK)+hn##hB?G4qPDPS2~XS~l@!g6Ee<#Ta@XlSJ*%gzdO1LL}oxqRO6Eb6qo^DV{K zQ8za?%RxH<3D!8Q1{C&?sMn|n9Nx3<(zsp3kdkl{A#=B#XbdJ)@1cpOB|q+MN0-aB zSUlGAbI7yY6-4E3Uu%7TyXH?#?JqO@ITdma}T$4v7Y^=x}o;bpM2v^4Xc zWpM%^x@iPXG6U*HM&-N5gJmyYimfcMsrp1tRz<~kkPPToBo$*R?Gj2u8rY+e1EE|iDYd2@&PTah^!YTxg{ zsf+$0T9oP?w9Wx1f?|HY`PcC9)9Igm#LvLdgSwqqSOQ%u5`N~J7S|8ZyxqRXK~-Dc zP}}&wcXVG-zXgG8@xLEce8`Y}=jylh(dJ?RC6w+vyn{lCci5{U523PhGI$FcI2~^N zd@1(tT5rVK*)?!bb`K8EbG^CwG5I|RRwZ$mL^Ba?GGIZRbdW7&N6*Uy%S8v(_}_c) zFSn8^q_TB~;EMjDk}$)x1gRux)w4QXDo~vKhH^j-!{0Am-fZj8SxwXT{*TtaGAPdP zJ$qqs_ux(-K#-upU4kXS-3jjQ?iL6V+)04o?yyJ*vbehhclY<<_wRJtcRJH)r~6@d z_R*uaUgtWaG>^U+(!%BI!qco}j1amhWf+i>&0I`O7;&YgB3;&kS{QVsyz_kFy0^}w z%2ilzMO)bNI+rSP^leZnMezUuWkx%@W)f1_S0rcAz&8;hf!n*2-?3cC)+qyvxNrhk zWZ9H6-Xu7K2^5r0oCMdldGVR{^FZ?XkiT)#K*P{j| zDhrBq$=_vb;W^5*Y4xmyN7<~H3G!CgyI9g>yG(qF5q=J2W1n}rvf>iJU+ZZR6g;uu@ zT`42lr(j0y4VEVcM&_RA-HEZq@xbx%anWo)4u^G#V0`$)!^2b+wNPAcFXkVpMaWjP zu(EPCe$%RN185G8&l?g^de;KFz%-L`%F4J$jT;z8NJgLnKu8kc7EuqXy4S_#arzB7=U3 z&)WNP#bX}8VF?*_=ii12^u;ehc!>B+2b14|L5mLkWH)>29e>Eg(EOeEw7^svo*5I9 z<3zz@TpOP~t<}3vUYQ!L=VB%zbb9H}h11Y0`r5OwP{fgCb8ch|(7C%PJ*FmTk)?HF5hF}F?D{einf3SM!f0TFkPr&!#(S7^vzgT0+xRW* zr=C~aN?{Br?lw>=@5RMf=#0F0)?mPEKP;$iDM=zU$s+CK)*A^S2m~sgK(3e}al;<4 zuk+x&Hr#aHq#Rex`fXX)y&IjS@6q&pHZiU)EsZFa`?164()zri;}Ko06n}rFLTTQN zwjaW+r+3l=;=NNCfp)hd_f{>WjAR5_QRt)k@#46hJ-$DGZSYxBh&Z-kW9b@`CU0-& zIQrSI$sPtaGIG2A5ORNs-st>SMd$IpV@m>x-1%8bmmaN=RBgd@DU z2)8k(1P$sz%jI&7-gNQZqj2qp~uXvfZT5zHVUmx2T~u z)zv|SUYVMzD*TsOBK2Vd-g`#APrh<^{j{S7oqfD zUpFEZ@+S1{pdgQ9noN={%Iz2cYd{YkJ8%f0p+nF3`IL!gbzcYse6OUg+ybtgqYH*DfCHfepA01crE;9wBA zKd1ra!MAKfvnj4~`E+ckzam{7%mvNp`w7d zBg^ZksbFDu$qb+oV0ins_fHbj>ECp_^>H~?1q=RNV$6l1FCbDWfrVSnrpgku>k2Il z&2Kp*uer%AMQFQZk8H?9txE-?lk3|}*^|}e!~G_BD&h{4byU@;E-pOs&@U z>i>MmuJG}<8p#S6FWU*LUU+SMI(h28-AT_oe?*aZpPQElOGignwE$2xhn7FXiDlgtXv9^ z@j^MONNR~sJs#Vch^nd+<9%#sL+L!2fs`V6l7Od*s8w-Yl6z6-e!32GpT_TYt{Mej5|U$vYX(xEzPGAsMp#UPe&SeMLvAjN zuiqX=GIu(%XNKwJLc-Qn7af_dWY+43_s(fJO;3DJcfF@3@r|-&=Q6QW={yE z6tRWN(Vf7A$8*Yhy7o~u(yF_EjQkoP*E;mWF-4@O45S=@!VuJjwDw;vcuai3+%;^Ov>_Ywyh%R&wQl>J}E@5ILn0z5Lsz=x|c)R)? z1&?(NR~lI6o#r!4Us-Y6ljOI?ppinTyPEvmIu;z^Bz-6Ds#%_#k9e#54^Sj z#epbRrPsCXqWAeQn}XAc&ric2p59#WT$9K*!U&*;-6r#6V=gMf+co6{dD|S=ccLpu zTBW6|pD+wZ`hk!@Z%p!AR{YE|2Co0GZCnd6uQIAAxD!Zx#Aj#6T`zlKUa$E1EgCnk zM>2sxDY~viz>;@@8}D`@pDl_3>5Zel9p1BQ%E5PKYD`xOV+S%!5I=u)!1GK=|qCuQBPOEz~66(sRJ;*y3y;@TfEThvL9i z3y;)~X%AJ!_f3SQHsLV#&K;VA@2J(Ryi&=Bex^Oyo*z_cK1L0s z8V#i|S2N_H* zhtxNc@31hhzJeA-+pW72P{u7XrNVj0H`hlU!MnU1kpI@k9;N>yZ#j+=OCt^dfsFZr z4l)S)f*2WNlT>wM3*Z= z0n05cde^QXgRVgMQO>u9OFX(WRVD*ClarIHMd`NPk@!qFS1#HkHe>CuKB%lDMFAo* z0T!@nww-J+Q4EyA{pbVKkgf38d`ec5-jJpf=fW}WT@vX7bn*`yjukI{;mL!0&?JSRR#ph<;c~yi=^2{6YE;My> zP~$a$_;QPz-N8zm%gW5$9I`0Z$-e%xr;HEs+ywivH8!@YWWaFf?pER_hbKl8^(;gr z>U!H2LEoTP63eP7$ zCk&+#A(A<5z-C-qIvK2#ci91|MPwAxl``d{ULb(Gk@A^a&ePp>trpdDmQ7 zM<@E>;bHdhNNjZJ2;fO14299=jZSbH>1q;wV*l`G@aw*7{^PHP(T6`w<{pP!&aL+> z)!srwH-C8y9e7ur`LL1TPR_HR1fF!HUh?V=ne?+q2J%2 zu+hHRni4@14W>dnY6)hEV1p0Xrot%fWOWFL1fqRPJE3QbCp7_RPd2 zDcR_X)ejkD4`kquouFMvVN~xkPiz)Ke@aUJaB5oJVdQ;28)V&(7QVx7)w9cP|2vYI zUb}N4gU2vZdkQ4|*+HN851(=9m!%dJ*mgSlgqWMW!7&K(-tg|!m^B=X#zkzGB zUE<@-9CKP<%tP{0#6W|Dm+Kf2nlChBA6ZPSX7J%BEX4*!v4+wPp8Va0lw24#tyWFhmB5hhcOX zRptCDgb##Gj-G%*G77P6T5Nt$WbPyvEEV5O6u&!y^b-R*bBiC1g+9u$UJYn+^( z#8tf2H?;(MW>n_?==^AtC<#gnpQufgB8)M@hoOuD3wx_fFccO=#v{g%nz)VST0ge0 zPwIxxr}F-g%@ns8#|JtvFc45@g?dboLpH2Omp7n~J z+3!8w=r^X43&SW>71j13i4<0iwk+ghv5vVgR@!uq2@8jbH0Y%A3F1WO-Vtd)RKCQ1I(2=F$@I5D~+n&aEY8-@84-0hzW!E6d*7R5(c8Y ztQg?h!ik34)U@d7(Bg<%Suu6)4}}u~`7iTLRM8ZMF{TiOs0iE%r5qP6CQ!~^CZoXB ztg(HQ&drgky6E8n9O%Z%ifVf+cl~}vdgnJbr_i&&hl=()lWZHC__OoVn{#MBu)Ik4 zehzx_Q^^Mfb6Y67HB?|#M*Tzu!&qUB7z8Mc^9c%E5^C zH`g6urLCl)d7bO0ITum-2Z~^GU=_rVeDK$9F-|Tn(PYyMzo*-(_OnNNxe*OjCX@vf zO(f6g1}X#*V%TA7n0TBO`avLiBcLP4`C-nQ8x9;GBuud@Ho9iddl#atIyO2B>doyu zbTtMj z(&)bRC+Wnqt*U)*Z_mHG{4^x6s=c`iixX8;xdNHu2zF25m=Q-o!g%nd!WxPr>?R&H z>ycC|fKP=biEXGU)qiz3ds?cW+q)aAr-{7qa9hc$gEW)||J)P7i;a>0)X)(^jzNQk z)VY7Tezjm1w_kd%BLa#y1tt>IAMmSq!R~3Y%?K@yjwQpvpk6cnNASi0#n_L+pO)F( zkj~$)0$p8ndkkyl*s83lYdZ(n-vY9-VcC;ud8rnegQXdXMN_J{DEBQ+!0aSm>03(; z=26xrxL*mu{&@!5MGB3_?e`xSH&6^AY;0E3%m?#LsGwEX7EPo(G;{G{DK>?y1Fp|S z4=32Q@Q}xC7EzHeaS*uTE$x7!E^OijmpfRNS7={xSB<}O5C#|Q7uUv=tP5DTKBh(c zW}u^^1N2S~!V@lJp@uz{o0ldvb4J2SYC3NJa?1+UB05Z-;Uzt>W99lCOc#w~!u zYnXnW^x57xsEVF}+wuvt;ktemTwGj@J9$xe7pkUeYZliN4C7Iwlw1Z=5vo3S!~^|$ zAtkeD=1{TGVq*d0CWUu;6+B0Buz<{M{#}B?-bM1uJgw54*bQrp;k7JI0d28Ew8>Y! z;ZdX93hiT~-!r;yOO*nD!->0+=~Y4vYiX%DVG_M=GbP8|P?*3@!xcAmR^ z`XtTa@Q6cWH#xh=Zkz^T~oCscWtnOE8M9w6jO&B2AKuai%!+b@`7yP%Y{M@0`={!ILalj z*T?8FH%Mj<<>d5(h@f&Sz}*1NsGxo`qOi<_u~H#AswghlfY|4LVMXf8jA!z|P3(<- z&B(^cAJCP3Y9U5H?;Nx=XidrQ4i^A? z+&!xadGM71M?{TybJ+ikx-hKSm)Ytm(dZ&I+hh7C-eMMh9rtZ;Vhl-n+usLXzPL`> zbFW0~Y#k!R1ZFEWAh_fgZFyjkr%FT_K>CYSn?s{G8eqd4e4cNs&`Kv_kW?r9}s4nHvbJ+)9-!Tw*e5wm>A50;zx zf5l~nBskv!AxJ&L7;MNHn6^) z^BH)*Tn^fsKU3C|-QoHE4{9}41gx*yaPQ63w)!e!wh;ZU`%&|Sj)3zee51>)H>mSs zT|nTeQ0`=KYLLB+H%{q^@Y@eU$GXp%wK8YsyH=dBVGP(KK;~NmNIY1Y+;l|jl)>7h ziqu0w{W=Z=8q3r`{xh}^t}jp!R^|_dNdyqf&#XWo*rgpu8peRsSm22Qhg4<1UH#`~ zmnnUe0IAEghI+xUe~p{M_iWtDeP<6f5BiI?i$&X@PNq^bue9yq>X(iCYROiWuZ|i~ zgHQ`b)a#VIJhoT#fhc?0`3tvOdt1o;i5s=zcyQk5KWB`t{Y=N?b)Gkwkbdg8o;U+; z?%GEr+cS6L~!kWr=ZVPSGqe+X&pf(jzUNr)Xf5p9I zy66vmgH_O#&uZ*XFJyl(55a?+gYlVvrTUY+f<`=!Og>oSxsx(nH5&<|N^t^Lx<=tG zjC9M=*=ubDZy+-;zTWbzGIxAagfDqNKQ$W3%39X<5Yc-w`|ANhY#2ysGMUSzs=8*+ z$076pN-u6j2FHOwu6Md zPcwrzB6j#}0n#3p3?bx0QT{7(JIF@5WmTCYbxEYt*eYtzT|V|5HN7kmS7Jh9I%)?L z@e>}EHpe}xsGAHI8rYF|T2g5c(Pt(EFM*bdpB|BZe*U9|%C|9NZZvS02xzXUY1CT? zNmrN#Fm0q@8c+k5;ALm1O0i`GNEiAWt$A!UysN{le6$gLt9%D5uK|3>UE@OkqbTXI z3%1lTcSKnQKdD>GmBtE=Bn6pOpLBoPM&Jez5stMw|8pdc+~7n_*cV~IyXJ}mgjm-i zFkP?trHJ2u;ElFtfK!+-81UD8;r&#Yk?ut^V89dO#S_yLh2c}oAjA)&74M?#&c#w` zAOQ-x&Oqqoq>Niuji#WQTf#Ug1Lpw`|FB^SDp)fS^M4b`CV^eTEUuOw*t&cevQ?0FjFJsQJ$>ie@F1QRbsH*6GOnM8FCX1_z9w-goc9}t^TKCh|j zD9q$H!z*DK=r^7zmr$L7f3u{;C*F9=x<4|THzVO3>Vy54CC3DBj@x?S9Xw1ktyl`} zP9B^ST>I$vK#=aYJf9ELL&`SKy)ahbQZt&|L!FqO;L+CXc*A)9#9e^04eLJ5{!lUR^UxZ zzeefaSY#&@iK=nQ^sU}MoSJU0)o)Lq_p*OjI{)7L+m-g&hz13gywQ?q`cN<$X(sA* z9(y#0|0Jt1(smSKo_{feJJ1X?h7gf1CvJzU9rYp*g5=i2lIy!d<{ zZ&6QH_wX48W6EEDOy2KhRcDQxx@`e6&?d4H7Re-0gZ&WOuP3v0OsA#Liq2~my>HI^ zyK1W6qKj=G*Om^0 zXSeQVR%Ess0*KG2d-pG}1G_`vNTUi=eE9dXo}0XBkD}h!6?T0#+kQNUJ(~~A89Xim zdke0Gk97{G(F43+{_uh*Kp;Lbl$SIMM!j?Aa@{wbWA0lP=|%2pGH&^S!M+LYD+3n= z{HInAo})%{7;=v<{PkouALg$ib~fTJvU&&hty9#7(~Yp-wmiSil*ZWxX}Yo$oSm>@ z$DBglj$l7XiCknfsq7>KR}Kp?XNDeNn7>h(rKlHz2|je)dA!inObs$7%Y0Fzl@+@Z zi+rshN@Qv+u;Ghp93@w=pO~mHHj}mF>TYkXBvzkM$t~o7)5M`d>Rh;wGx}_+RyK@Z z^9M*386I5SZsDF3hDkMx&&olcs+2Ym6jQ(h2R(-oXzq4E#9ySY+Pe<<Y>n zHr!yjEVpUDJ;i=FF3JR|bl8M`GnXWXHCp|L<{aK>-{PHOn_DOG-+zSoB;%x=ON$%QQdX z1%yBDE#`8_ewo3~#}VrGKkC4M_0xVi zwC`}e9~$9zf8SCCf&mHZ++X?o)Q90&^Uvd<`hiY5(p}fTvg$>zv^JrGPR6PTbl6*9 zORb6wzlfvCvo@}9 ziT=ZiFQB;oAVB{UZoLI+@QYw_cvc;Ax>i~01O|0Z=SC0zy)X=@)oYdT=yvEfUIOOD z^11SRTz_x>+tHd|df^es%Jx57m-Qa?ZLS>xSc}fmN9MwYjzTgX!AIhkaWI4{Ke6el z59!=;1W?DZBbC>zn6Qd&2lXa9m5Ab4${CNSjXDr=P(G_-=hW^o$G{g9k&$}E+ zVxuo6$xT<3opC??u+LF?{6+u+l7@B*1(hmfD8V~Jl~|BxkK(WDrG?+t0SX{)PmKbLzp4PxAOCUqt1)L zAi(8XEbvhPWDYA{*Zlk=5dD>Cvqy-3m-anpB+9}_W3Z!OeEV{HSZcV(Xz~6`>YGVZ zRe=%gk&lP*!IKJ#D3n~?-#>4?gU2`cmvrn#-}!d;tIw5>iS6)l>LaPq``O-fu3l3a zDBgd|903qZxCd_J=}nQ=gX1NNb-7)SDMxW%xyaGgSe2Q#*PMX-$e`F^6@mAnGwh2W z`p2SI{j0Hx(8!w>b+aNL0yqzF`4t8$oSP@37Eg(*YERbDg501 z=wJNM7l#!M7 z!UZUESh$CiUbmB*J}o?t{E%dDWTf=+Tx`eTO(XR9`6!d@W}2g7!;f1kNJ(R24O80w zMY$WDAJrapXs1BK29w=A+6DnPW3UBdy-&C!(t!5#57Y1yrQWg&RzDw~p{!%1DB!~Z z(vcJ}NE2g?A?*-%dk6t@s!E3Q>#yNJ@Yq}iW>n@Fm9y&uZ6N!Zj~T!9&A|c6WWy~b z(%7Yk7x53Q3*k(D5~iZ0+&nhKujN~pdSy$PFtB_{?Uw{j8Qg${96T+8gsl)~BV1-# z>8eY(5l^?+>@8HDs)|7~V6A0Q&(B>>4}eEDTbW5M4+$@X-dyoHK-S-*bSys@#buNEcbnpt!ZxqDvSs-G z0#0RDq=hMf{*vWe;Em5CFHOV3j`;<(BcLZH$Zx{Px$g6#9v$wn6-`^ zFQ#M<7-bddIDQ z5vK&on7&!r{adBY&ax0>=7;qw01N23$Rbf5dG|xLn{I3kI%HfYLGxWSI^A{Vl|vC} znSgnGK0#Nehqd21*RlZ%0Rj!UFm!=5^bg1j^1n|zsk9AJ&2)x)vKUbu4Zce3ga$Mh z^{m+#mGgKK3X*mKu#e}8rE;II;o|i<%&bg>hqRvoJj$yI{SfblAUk{U9zK7${J2aP z&>Qe6sR&=)tN5ZqIuJ?`@)(=J*d&}!i3PGh{r!O#xwg3UwtvS8|L@DzU*?|KTfOqQ z$PfywxALvURa`9guKO4Q*t$x@wasu^ll*QdM z&<*6DT8l!Y(Px3y50@m_rZ+Uy2qJkB5=;ICyQ50E+}Y-7SkB;tT=8+2@X)U(Y}RFM zh9cvfI|PH_m$F}CWj!=CoE{m;pXh+wh*RUc|KR0W!|N>1+9+e)3x_F&>&3Etj1dwi zo&TAUXCYObtLUJLt}P+@(Xq(cw7g&+3yp)g6%Cw+-6nV=M1xd>0~+2LcMY@<8F!Hh zeg8v<>AD?8yYYFhBYX;gO1l5C;he*WB0lPfF$s}8_9IYAVUE9es_0wtnEnH^|3-14 z21bo8p52)IHaXqS@+C(KcH$*Pg1dXJtc}S=*)Q3L z0Rf&T8=6M5;*XiP1Ps+^W1NOj3|0O(^$P~d)Q_Q^ir1sly)Fpg_zUIi%LHdKHLk$$ z%S}VgE>0>U1UMkZBK>8ifc6z8S`$G{fPbJxw~AP}1ofjeeNsR9?iT?ynFLdbe(Ol;3mIBN zl9nZeDyC7(wB&*qvdZ7G6n22^!^Kn99ZZV3GWs&lKiBx&yY7JDGR}QZIr)q@$f*x> ztrm&%!gH2jko++^@g#YhSs1F!5zsyz@CYCmy)OVUU~HVJb4x~KiqjJ#NKA;NmDXh( zOmI@}?e0%-Dj>nG!?rIRhA!EL&0msY)GL^Kav~9s4k+a+zyMXLEqB6Im0>a{jPEwJ+lg|q17k}oW1ki@w z@91*)+gG%&%glj+YvUWaH0dOt<7u zLn?oN>tP(jJlJ?KE@#{TFS_F-D$Qt9jcJnq6Gg=M!c`f6b#6N#G_j`rJ))C;33VmqIP;`eG18x>4B?5}eo&`w7V5u`*#MKg4y{yzv$Id0|>J z7g`vzWJ^&QGqEebTNMZWJJtR&t!W0}tUu7YCfOZp3Jp_>r%23FfB3WD6fE}f*E{O! zI_Ud=KnpRoe2!>Xrg6+jTGYvU@7)l1I+ zdXRV9ewY$yUD8Nr^uk@>w+G8pMqLip!9>xQ=dmcRU{PV$iW$`Y7CHZ;56sfl&FWZsCDzz!cEnc^68!pbm6xP}dV#rh#1{%D>>CAwj~ zRP2vl~B07TW_TlLTIL@=xuPtZ_M$KqrR&I=>fE0JQBKrP6Tc@)BYXepA zzcxz+;e7J60Z3d)Jd=Xn>&8BvzMHi91qP{1s~A1hv7RAQe>go(Wxze9#>)F-P|4(8 z0BN+EHd#zH$1}Hxcs#%2Y&Uy%dO2_c00$+UKP0p0dd~M(vV!|1zWuC#F0UzJ!Li87 z5QT*K0~?EkkFaIH(EbrAvgjl0{u3-NyHVcs_J|wP?$hgmiy8Va&wz*xm)b&eq6AoxeqVg$p1CY4cc?LL51k!1>OL-`=ks3yI2Dv71wWAp6%#G7SN* z$T=-$THHjSRywG6P8eusYG0(-cbFGiR!J0pB_#4+{g2A?p9=S{KV$Y-@`?NJvBx_5 zuC-8N84}3=|8fyo;&D~0Q-YH=i7ROl`5XIQ{j>u;7CP+9+l1{chSs9FK0Ui5rH0?+ zelUJ%6X0QEY+)k4jX3(*|D-p81ZU(4=|8*V0)+-XKy_b!{*V6r7mp#nWCk)=lNGv} zi--_YDa30SY*V0ZZjRi zA%D$Q-q<-khHCweokBkLT1~N{#GVMiPv0GSUC{hh zyAS$JM~YC7lCL-Vg)uGjDqRckfqlZ(pnVxMEkgDuP6kXChRyb%S4yWefb%dBl;Sq1+Gs zN*&)$+OxtUTb@bET8^wyT7izZ_AX^-9)7Uk2S#a3 zNQ-~?xP|2wI>`U|W;exta5#nDIzKdv=+walv7=NydyqcjAD~L;#8dN%7;>rm>2Z2u zy)5TO%E-sYt7C2Z0}X8*%C>W_>>wPqjrli*_-?+(PXFF!jEo=bS4C*}yt`)KyF$pW zjvkh`M;8yoMFU<954ZaUEd(Rq-WZ(s^LiJ+;)0(x3n3xFhlV%GUMKp*$7I^`9ap=9~qW!BpG&5eJbt$(C6 z>9@3A@Wo2edsne?1rM+1{g}~P6o{ir8nDMUAfe;@4s#U%f)WYhoXvdktpz+5~+p#ET~ zPH^32|9z~>M?``sfa9O7v0vZ}z^^B3*e@L